Fractilia, EUV 패터닝 제어 및 수율 향상 위해 스토캐스틱 HVM 제어 솔루션에 OPC 분석 추가

OPC 모델링 분석의 정확도와 결과 도출 시간을 크게 단축

2024-06-12 10:23 출처: 프랙틸리아

Fractilia의 FAME OPC는 첨단 패터닝 공정에 사용되는 필수 기법인 광 근접 보정(OPC) 모델링 향상에 결정적인 OPC 측정 및 분석 기능들을 제공한다(제공: Fractilia)

서울--(뉴스와이어)--첨단 반도체 제조를 위한 스토캐스틱(stochastic) 기반 분석과 제어 솔루션의 선두 주자인 프랙틸리아(Fractilia)가 ‘FAME™ OPC’라는 신제품을 출시한다고 밝혔다.

Fractilia의 FAME OPC는 첨단 패터닝 공정에 사용되는 필수 기법인 광 근접 보정(OPC, optical proximity correction) 모델링 향상에 결정적인 OPC 측정 및 분석 기능들을 제공한다. FAME OPC는 모든 주사전자현미경(SEM, scanning electron microscope) 장비회사의 모든 SEM 장비 모델과 호환되고, 어떠한 OPC 데이터 플로에도 삽입할 수 있으며, 단독 제품으로 사용하거나 사용자가 기존에 보유하고 있는 Fractilia 프레임워크에 추가 기능으로 사용할 수 있다.

Fractilia의 FAME 및 MetroLER™ 제품은 Fractilia의 특허 기술인 FILM™ (Fractilia Inverse Linescan Model)과 진정한 연산 계측(true computational metrology)을 결합한 것으로, 첨단 노드에서 패터닝 오류의 가장 중요한 원인인 모든 주요 스토캐스틱 효과를 고도로 정확하고도 정밀하게 측정하는 유일하게 검증된 팹 솔루션이다. Fractilia는 현재 주요 선두 칩 제조사들과 자사의 새로운 FAME OPC 제품을 활용해 OPC 데이터를 측정 및 분석하는 방안에 대해 협의 중이다.

OPC 모델 검증에 불충분한 CD 측정

OPC는 반도체 제조에 있어서 포토마스크에 미세 에지 편차(tiny edge deviation) 및 SRAF (sub-resolution assist feature)를 사용해 웨이퍼 상에 원하는 칩 패턴의 인쇄 적성을 향상시키는 패터닝 향상 기법이다. 각각의 OPC 모델들은 게이지(gauge)라고 하는 수만 개의 피처들을 사용해서 보정된다. 이 게이지들이 정확하고도 정밀하게 측정되지 않으면 프로세스 윈도와 수율에 부정적인 영향을 미칠 수 있다. OPC 모델을 보정하기 위해 칩 제조사들은 테스트 마스크를 사용해 웨이퍼를 프린트한 다음, 게이지가 프린트한 것과 자신들이 설계한 것의 차이를 분석한다. 과거에는 고객들이 임계 선폭(CD, critical dimension)만 측정한 뒤 이를 OPC 모델에 포함시켜서 모델을 보정했다.

하지만 칩 피처 크기가 계속해서 축소되고 EUV 패터닝 도입으로 스토캐스틱 변이(stochastic variability)가 증가함에 따라 OPC 모델 보정 및 검증을 위해서 CD 측정은 더 이상 충분하지 않게 됐다. 라인 에지 거칠기(LER, line-edge roughness), 라인 폭 거칠기(LWR, linewidth roughness), 국부적 에지 배치 오차(LEPE, local edge-placement error), 국부적 CD 균일성(LCDU, local CD uniformity), 그리고 CD 측정을 모두 고려해야 한다. 미세 공정 노드가 2nm 노드 이하로 내려가면서 high-NA EUV(0.55 NA EUV) 리소그래피로 이전이 예상됨에 따라 스토캐스틱 변이의 위험성은 더욱 높아졌다.

Fractilia의 에드 샤리에(Ed Charrier) 사장 겸 CEO는 “수년 전부터 주요 칩 제조사들은 자사의 OPC 모델 개발 및 검증을 위해 Fractilia의 MetroLER 제품을 사용해 오고 있다”며 “이 제품이 OPC 모델을 향상하기 위한 필수적인 정보를 제공하기는 하지만, 사용자들이 수작업으로 셋업, 측정, 분석을 해야 했고, 이 작업을 완료하는 데 며칠이 걸릴 수도 있었다. 이에 Fractilia는 고객의 의견을 반영해 OPC 측정 및 분석 프로세스를 완벽하게 자동화하는 FAME OPC를 개발했다. 이로써 사용자는 CD와 기타 거리 측정은 물론, 수천 가지의 서로 다른 게이지들로부터 수백 개의 스토캐스틱 측정을 불과 1시간만에 측정할 수 있게 됐다. 이는 OPC 모델의 정확도와 결과 도출 시간을 크게 향상시킨다”고 말했다.

‘편향되지 않은’ 측정으로 웨이퍼 상의 보다 정확한 모습 제공

Fractilia의 FAME 솔루션 포트폴리오는 독자적이고 고유한 물리학에 기반한 SEM 모델링 및 데이터 분석 접근법을 사용한다. 이를 통해 SEM 이미지로부터 무작위(random) 오차와 시스템(systematic) 오차를 측정하고 제거함으로써 이미지 상에 보이는 것이 아니라 실제 웨이퍼 모습을 정확하게 측정한다. FAME은 LER, LWR, LCDU, LEPE, 스토캐스틱 결함을 포함한 모든 주요 스토캐스틱 효과를 동시에 측정할 수 있을 뿐 아니라 CD와 그 밖의 다른 거리 측정도 제공한다. FAME은 업계 최고 수준의 신호 대 잡음 에지 검출(경쟁 솔루션 대비 최대 5배에 달하는 신호 대 잡음비(SNR)) 성능을 제공하며, 각 SEM 이미지로부터 30배 이상 더 많은 데이터를 추출한다. FAME은 모든 SEM 장비회사의 모든 SEM 장비 모델과 호환된다.

FAME OPC를 통해 Fractilia는 OPC 모델링에 FAME의 고도로 정확한 측정 및 분석 기능을 제공하게 됐다. 사용자는 모든 측정된 게이지의 ‘마스터 시트’를 생성한 다음, 이를 모든 SEM 이미지 및 GDS/OASIS 파일 같은 설계 패턴과 함께 FAME OPC에 전달한다. 그러면 FAME OPC가 SEM 장비 측정에 대해서 CD 측정을 자동으로 보정하고, 각각의 Fractilia ‘레시피’ 파일을 생성하며, 각각의 게이지에 대해서 해당 SEM 이미지를 측정한 뒤 결과들을 취합해서 극히 정확한 측정과 신속한 분석을 제공한다. FAME OPC는 이 모든 과정을 완벽하게 자동화된 프로세스로 처리함으로써 엔지니어링 워크로드를 크게 줄이고, 결과를 얻고 최적화된 OPC 처치를 결정하기까지 걸리는 시간을 수십 배 단축한다.

현재 Fractilia의 제품은 업계 전반에 걸쳐서 수십 개 회사들이 채택하고 있는데, 여기에는 주요 반도체 제조사, 장비 회사, 소재 공급회사, 연구 기관들이 포함된다. Fractilia의 FAME 및 MetroLER 제품을 사용함으로써 사용자는 보다 향상된 리소그래피, 식각 및 SEM 장비 매칭, 처리량, 전반적인 장비 효율, 프로세스 윈도 최적화, 소유 비용 절감, 자본 장비 지출 절감 등의 이점을 누릴 수 있다.

추가 정보

FAME OPC에 관한 자세한 정보는 Fractilia 웹사이트(www.fractilia.com/opc)에서 확인할 수 있다. Fractilia 아카데미(www.fractilia.com/public-academy)에서는 기술에 대한 개요와 함께 스토캐스틱 분석 및 제어를 위한 Fractilia 솔루션을 소개하는 최신 컨퍼런스 자료 및 기술 논문을 볼 수 있다.

Fractilia 회사 소개

Fractilia (프랙틸리아)는 첨단 반도체 제조에 사용되는 스토캐스틱(stochastic) 측정 및 제어 솔루션의 선도적 기업이다. Fractilia의 특허 기술인 FILM™(Fractilia Inverse Linescan Model)은 첨단 노드에서 패터닝 오류의 가장 주된 요인인 스토캐스틱을 고도로 정확하고 정밀하게 측정한다. 이에 따라 디바이스 수율과 성능뿐 아니라 패터닝 생산성을 향상시킬 수 있다. 세계 5대 칩 제조사 중 4개 회사를 포함해 업계 전반에서 Fractilia 제품을 사용해 공정을 최적화하고 있다. Fractilia 솔루션은 공정 개발 및 엔지니어링 분석을 위한 MetroLER™ 제품과 팹 생산 착수 및 양산 애플리케이션을 위한 FAME™(Fractilia Automated Measurement Environment) 제품을 포함한다. Fractilia는 미국 텍사스주 오스틴에 본사를 두고 있으며, FILM 및 관련 기술들로 수많은 특허와 영업 기밀을 보유하고 있다. 자세한 정보는 홈페이지에서 확인할 수 있다.

이 뉴스는 기업·기관·단체가 뉴스와이어를 통해 배포한 보도자료입니다. 배포 안내 >
뉴스와이어 제공